案例,spss,数据分析

基于FPGA的DS18B20温度测控电路设计


全文字数:15000字左右  原创时间:<=2022年

【内容摘要】

基于FPGA的DS18B20温度测控电路设计


随着社会的发展,温度的测量及控制变得越来越重要,温度是生产过程和科学实验中普遍而且重要的物理参数。本文利用FPGA结合传感器技术而开发设计了这一温度测控电路。本文详细地讲述了基于FPGA和温度传感器DS18B20的温度测控电路的设计方案与软硬件实现方案。本文采用数字温度传感器DS18B20完成温度测量并以二进制补码的形式输出,再经过转换,通过数码管显示当前测量值,可通过按键以10℃的步进改变温度设定值。用被测温度值与设定温度值进行比较,通过判断电平的状态,从而实现了测量和控制温度的目的。
本文试图设计基于FPGA的DS18B20温度测控电路,与传统的温度测控电路以单片机或DSP作为控制器相比,FPGA具有集成度高、逻辑实现能力强、速度快、设计灵活性好等众多优点。

关键词:FPGA,DS18B20,温度测控,VHDL

 

*若需了解更多与协助请咨询↓→[电脑QQ][手机QQ]【数据协助】